中国电子商会信息工程测试专业委员会主办
今天是 2024年 05月 15日 星期三
中国电子商会信息工程测试专业委员会
Integrity archives
诚信档案
技术前沿 您的位置:主页 > 诚信档案 > 技术前沿 >
半导体EDA产业深度研究报告:国产EDA迎黄金时代
2022-05-15 返回列表

一、EDA 是电子设计的基石产业

1、EDA 覆盖电子系统设计的全环节

电子设计自动化(Electronic Design Automation,EDA)技术是指包括电路系统设计、系统仿真、设计综合、PCB 版图设计和制版的一整套自动化流程。随着计算机、集成电路和电子设计技术的高速发展,EDA 技术历经计算机辅 助设计(CAD)、计算机辅助制造(CAM)、计算机辅助制造(CAM)、计算机辅助测试(CAT)和计算机辅助工程设 计(CAE)等发展历程,已经成为电子信息产业的支柱产业。

EDA 产品线繁多,根据 EDA 工具的应用场景不同,可以将 EDA 工具分为数字设计类、模拟设计类、晶圆制造类、 封装类、系统类等五大类,其中系统类又可以细分为 PCB、平板显示设计工具、系统仿真及原型验证和 CPLD/FPGA 设计工具等。

数字设计类工具主要是面向数字芯片设计的工具,是一系列流程化点工具的集合,包括功能和指标定义、架构设计、 RTL 编辑、功能仿真、逻辑综合、静态时序仿真(Static Timing Analysis,STA)、形式验证等工具。

模拟设计类工具主要面向模拟芯片的设计工具,包括版图设计与编辑、电路仿真、版图验证、库特征提取、射频设计解决方案等产品线。

晶圆制造类工具主要是面向晶圆厂/代工厂的设计工具,该类工具主要是协助晶圆厂开发工艺并且实现器件建模和仿 真等功能,同时也是生成 PDK 的重要工具,而 PDK 又是作为晶圆厂和设计厂商的重要桥梁的作用,因此可见 EDA 工具和工艺绑定紧密,并且随着摩尔定律的推进需不断升级迭代。晶圆制造类工具包括器件建模、工艺和器件仿真 (TCAD)、PDK 开发与验证、计算光刻、掩膜版校准、掩膜版合成和良率分析等。

封装类工具主要是面向芯片封装环节的设计、仿真、验证工具,包括封装设计、封装仿真以及 SI/PI(信号完整性/电 源完整性)分析。随着芯片先进封装技术发展以及摩尔定律往前推进,封装形式走向高密度、高集成及微小化,因此 对于封装的要求和难度有较大提高,目前高性能产品需要先进的集成电路封装,如将多芯片的异质集成封装方式、基 于硅片的高密度先进封装(HDAP)、FOWLP、2.5/3DIC、SiP 和 CoWoS 等。

在系统类 EDA 领域,EDA 工具可分为 PCB 设计、平板显示设计、系统仿真工具(Emulation)、CPLD/FPGA 等可 编程器件上的电子系统设计。EDA 工程的范畴不断扩展到下游电子系统应用,如果没有 EDA 技术的支持,想完成先 进的电子系统设计机会是不可能的,反过来,生产制造技术的不断进步又必将会对 EDA 技术提出新的要求。

在系统类 EDA 中,印刷电路板(PCB)主要用作电子系统的载体,工程师通常将集成电路元器件焊接在 PCB 上完成 整个电子系统的搭建、控制、通信等功能。目前主流的 PCB 工具有 Cadence 的 Allegro、Mentor Graphics 的 Xpedition 及 Zuken 的 CR 等,国产 PCB 厂商有立创 EDA 等。

平板显示设计主要应用于面板的研发、生产和制造,国内 EDA 公司华大九天已经具备在平板显示领域全流程的工具, 并且基本覆盖国内主要的面板厂商客户。

系统仿真工具(Emulation),与传统的仿真工具(Simulation)不同,主要聚焦于系统级别的仿真,广泛应用于加速 软硬件联合开发的场景,而传统仿真更多聚焦于单一功能或者局部电路环节的仿真。西门子(Siemens)曾推出 PAVE360 自动驾驶硅前验证环境(pre-silicon autonomous validation environment),该产品主要意图在于支持和促 进创新自动驾驶汽车平台的研发。PAVE360 为下一代汽车芯片的研发提供了一个跨汽车生态系统、多供应商协作的 综合环境,该系统不仅可以实现汽车硬软件子系统、整车模型、传感器数据融合、交通流量的仿真,甚至还仿真自动 驾驶汽车最终在智能城市里面的驾驶。

复杂可编程逻辑器件(Complex Programmable Logic Device,CPLD)和现场可编程阵列(Field Programmable Gates Array,FPGA)最显著的优势在于开发周期短、投资风险小、产品上市快和硬件升级余地大等。这两类芯片 是比较特殊的芯片类型,需要与 EDA 工具协同才能工作,一般而言开发 CPLD/FPGA 的厂商都需要开发一套成熟的 EDA 下载和验证工具来实现对芯片的编程。从 CPLD/FPGA 的简要设计流程可以看出,对工程师而言,其工序相对 于传统芯片设计流程有明显减少。

按照集成电路产业链划分,集成电路 EDA 工具可以分为制造类 EDA 工具、设计类 EDA 工具及封测类 EDA 工具。器件建模及仿真类工具就属于制造类 EDA 工具,晶圆厂(包括晶圆代工厂、IDM 制造部门等)借助器件建模及仿真、 良率分析等制造类 EDA 工具来协助其工艺平台开发,工艺平台开发阶段主要由晶圆厂主导完成,在其完成半导体器 件和制造工艺的设计后,建立半导体器件的模型并通过 PDK 或建立 IP 和标准单元库等方式提供给集成电路设计企业(包括芯片设计公司、半导体 IP 公司、IDM 设计部门等)。设计类 EDA 工具则是基于晶圆厂或代工厂提供的 PDK 或 IP 及标准单元库为芯片设计厂商提供设计服务,芯片设计厂商采用设计类 EDA 工具完成芯片的设计。封装类 EDA 工具主要是提供封装方案设计及仿真的功能,从而帮助芯片设计企业完成一颗芯片的全生命周期的设计服务。

2、EDA 本质上是电子设计方法学和设计流程的载体

何谓设计方法学?电子设计涉及到很多除了计算机工程类的 know-how,还涉及很多微电子学、物理学等诸多方法学, 并将其集成在 EDA 工具中供设计厂商使用,设计方法学主要方向是自动化、程序化、AI 化、最优化。自动化:早期的 IC 设计手工成分比较多,比如手工布局布线等,后来随着晶体管数量越来越多、IC 设计的规模越来 越大,手工设计难度越来越高,因此出现了自动化的 EDA 工具进行辅助设计;程序化:IC 设计是一个系统化工程,流程化清晰,因此后续也出现了控制程序化的 EDA 工具,主要来掌控整个设计 流程串联。

AI 化:数字电路的流程化更为明显,并且程序化程度较高,设计优化算法也有固定的范式,因此 EDA 工具中也引入 AI 的概念,利用 AI 算法进一步优化设计流程和范式。复杂的高性能 SoC 设计过程,有无限的设计参数可供探索,例 如模块布局,设计尺寸和形状,以及无数的 EDA 自动化工具流程和变量可以尝试,设计探索阶段对最终结果潜在影 响巨大,所以设计团队往往在这个阶段投资大量人力和机器资源,花费大部分的总体设计时程,AI 与 Machine Learning 等技术进展可以大幅加速设计探索的速度,比如Synopsys 的DSO.ai与设计实现工具内建的Machine Learnig技术, 不但能更快的达到设计目标,还能减少探索过程中需要投资的人力与机器资源。

最优化:EDA 工具最终目的是为 IC 设计业者提供最优的设计方案,具有较强竞争力的 PPA(Performance、Power、 Area)的设计结果,因此最优化是 EDA 的终极目的,EDA 的工作原理其实就是在给定约束情况下求解 IC 设计方案 的最优解的过程。

何谓设计流程?芯片设计过程不是一蹴而就,而是按照一定的工作流程和步骤进行,同时步骤之间又有所关联或者循 环优化,寻求最优解。

3、数字设计 EDA 的核心环节是逻辑综合和布局布线

数字芯片设计多采用自顶向下设计方式,可以分为五大步骤:

1)系统的行为级设计,确定芯片的功能、性能指标(包 括芯片面积、成本等);

2)结构设计,根据芯片的特点,将其划分为多个接口清晰、功能相对独立的子模块;

3)逻 辑设计,采用规则结构来实现,或者利用已验证的逻辑单元;

4)电路级设计,得到可靠的电路图;

5)将电路图转换 为物理版图。

4、模拟设计 EDA 的自动化程度低于数字设计,模拟设计更依赖经验

模拟电路是指用来对模拟信号进行传输、变换、处理、放大、测量和显示等工作的电路,主要包括放大电路、信号运 算和处理电路、振荡电路、调制和解调电路及电源电路等。模拟电路的设计流程与数字电路大体流程类似,但是所采 用的 EDA 工具有差异。

模拟和数字芯片设计流程对比方面,模拟芯片设计的自动化程度低于数字芯片设计。借用数字芯片设计的概念,模拟 芯片设计也可以分为前后端,前端设计包括电路图设计及生成,涉及大量的算法、计算以及假设验证等,从自动化程 度看,数字芯片在前端设计的自动化程度明显高于模拟芯片,主要是模拟芯片需要工程师手动选型电路拓扑并且选择 合适的元器件。后端设计方面,数字电路的后端设计基本实现了全自动化,EDA 工具的性能直接影响到芯片产品的 性能,模拟芯片后端设计的自动化程度较低,尤其在布局步骤方面。

5、平板显示 EDA 是面向面板厂商的细分领域

平板显示电路设计主要应用于面板厂商,如三星、LG、京东方、华星光电等,面板生产厂商需要采用该类设计工具 协助设计和仿真,具体流程如下:

1、原理图编辑:原理图编辑工具主要用于对平板显示电路设计的像素单元、控制单元等电路模块进行原理图设计。

2、电路仿真:实现平板显示电路的快速电路仿真。

3、物理验证:检测平板显示电路设计的 DRC/LVS 等验证要求。

4、寄生参数提取:提取平板显示电阻电容值,包括像素级电阻电容提取、触控面板电阻电容提取和液晶电容提取等。

5、可靠性分析:包括电压降分析、电迁移分析和热分析等,针对平板显示电路设计的版图特点,通过全面板热电分 析技术实现对大规模网络的电流和电压快速计算,大幅提升平板显示电路设计可靠性分析的效率。

二、后摩尔时代 EDA 需求更加强劲,国内需求快速成长

1、EDA 行业研发投入高,并购整合频发

全球 EDA 软件行业属于技术、资金、人才密集型行业。由于研发投产周期长,导致行业人才需求以及资金消耗成为 行业发展的关键因素,也体现出 EDA 软件行业的主要特征。EDA 三巨头在过去的 30 多年里,经过了超过 200 次数 的并购,形成了现如今行业内的寡头垄断地位,其中 Synopsys 的并购次数更是高达 80 次。

EDA 行业是技术密集型行业,领先技术的来源主要有两个:一是企业内部自主研发,二是兼并收购其它公司或组织 的先进技术。纵观全球 EDA 龙头企业的发展史,这两方面几乎伴随着 EDA 企业成长的每一个时段。

EDA 是一门高研发投入的生意,研发费用的大额投入才有机会带来创新的新技术,更是企业的竞争活力源泉。从 2011-2020 年来看,Synopsys 和 Cadence 两大巨头的研发费用逐年攀升,研发费用占营业收入的比例更是常年高于 30%,Cadence 的研发费用占比更是达到了约 40%,高额的研发投入保障了 EDA 的技术进步,更是 EDA 龙头保持 持续市场竞争力的关键。

寡头垄断格局的形成并非偶然,兼并收购促使三巨头业务不断集中。Synopsys、Cadence 和 Mentor Graphics 均创 立于上世纪 80 年代,三家公司通过不断地兼并收购其它公司,不断完善自己的业务和产品线,同时扩大了业务规模。其中具有重要意义的收购是 Synopsys 于 2002 年收购了与 Cadence 结构专利诉讼的 Avanti 公司,直接衔接了 Synopsys 的前端和后端业务,使得 Synopsys 成为 EDA 历史上第一个可以提供顶级前后端完整 IC 设计方案的领先 EDA 工具供应商,至此 Synopsys 坐稳全球第二的位置,经过几年的不断发展,Synopsys 在 2008 年成功登顶全球 EDA 霸主至今。

2、集成电路产业链的重要支点,全球 EDA 市场规模稳健成长

EDA 是集成电路产业链的支点,具有重要杠杆效应。全球电子产品和半导体市场呈倒金字塔分布,顶层是数万亿美 元体量的全球电子信息市场以及数十万亿美元的数字经济市场,而底层的支点则是 70 亿美元的 EDA 产业。EDA 工 具能够帮助设计人员在复杂的 IC 设计环节中降低设计难度,减少设计偏差,提高流片的成功率。EDA 产值虽小,但 其决定了整个产业的效率以及产品的质量,具有巨大的杠杆效应。一旦 EDA 产业受到冲击,整个集成电路产业的稳 定性将会受到巨大影响。

EDA 软件的收费模式大多为“定期授权”模式,该收费模式有利于平滑行业内各厂商的营收情况,减轻下游行业波动 影响。EDA 软件通常会因半导体制程的精进、设计工艺的升级而做出相应的软件更新,每次更新后,下游 IC 设计厂 商都需要对新版本进行重新购买以获得权限,授权的有效时长约在 3 年左右。相比于按下游芯片产量收费的“版税”模 式,“定期授权”模式能够平滑 EDA 厂商的收入情况,使整个 EDA 行业保持平稳增长。根据 IC Insights 数据,2016-2020 年全球 IC 市场整体 CAGR 为 8.1%,2017 年储存器市场供不应求,DRAM 与 NAND Flash 需求大幅增长,导致该年 IC 市场增速达 24.9%;2019 年,DRAM 和 NAND Flash 销售额下滑,拖累全球半导体市场下滑 15.0%。

由于下游 IC 设计的复杂性提升,全球 EDA 市场发展逐渐提速,亚太地区增速明显。EDA 可以大大缩短产品的研发 周期,并极大提高产品性能与性价比。2020 年,随着大规模集成电路、计算机和电子系统设计技术的发展,EDA 软 件的需求增长速度明显提升,根据赛迪智库数据,2018-2020 年年,全球 EDA 市场规模分别为 62.2、65.3、72.3 亿 美元。2019 年、2020 年增速分别为 5.0%、10.7%,增速有明显提升趋势。

分地区看,各地区保持稳健增长,亚太地区增速明显。北美地区作为 EDA 软件的主要供给与使用地区,市场规模一 直保持高位。2018-2020 年,北美地区 EDA 市场规模分别为 27.4、28.1、29.6 亿美元,同比增速分别为 2.55%、5.34%;而亚太地区受益于下游产业迁移趋势,市场规模整体增速明显,并与 2020 年超过北美地区,成为全球第一大 EDA 市场。近三年亚太地区市场规模分别为 24.2、26.1、30.1 亿美元,同比增速分别为 7.85%、16.48%,远高于其他地 区增速;欧洲地区近三年市场规模分别为 10.6、11.1、12.3 亿美元,同比增速分别为 4.72%、10.81%。

分细分领域看,EDA 各环节占比基本保持稳定,计算机辅助工程与 IP 核为 EDA 主要的销售部分。ESD Alliance 跟 踪了包括计算机辅助工程、IC 物理设计与验证、PCB 与多芯片模块,以及半导体 IP 等细分领域的销售情况。数据显 示,2020 年各个季度的销售额结构基本保持稳定,IP 核的交易为 EDA 产业交易规模最大的一部分,在 20Q4 占据着 34.7%的市场份额;其次则是计算机辅助工程,占比为 31.6%;而物理设计与验证、PCB 和 MCM 则分别占据 21.0%、 9.7%的市场份额。

与国际市场相比,我国 EDA 市场规模较小,但增长迅速。根据赛迪智库数据,2018 年,我国 EDA 市场规模为 44.9 亿元,而在 2020 年,我国 EDA 迅速增长至 66.2 亿元,CAGR 为 21.42%,高于 7.81%的全球增速。但由于我国 EDA 厂商起步较晚,在产品性能与技术水平方面均不占有,国内市场份额大多为国外厂商所占据。2018 年,仅 Synopsys、Cadence、Siemens EDA、Ansys 等多家国外 EDA 巨头便占据了我国 84.6%的市场份额,而到 2020 年,该比值上升至 85.8%头部化趋势依旧明显。

3、半导体研发是需求驱动力,后摩尔时代 EDA 需求更加强劲

摩尔定律的不断推进以及半导体公司的研发投入带动 EDA 需求增长。过去三十年,摩尔定律驱动半导体行业不断往 前发展,单位硅片面积能够容纳的晶体管数量指数型上升,芯片设计的复杂度随之提升,因此对 EDA 工具也提出了 更高的要求。另外,随着工艺制程节点不断往前推进,芯片设计的成本大幅提升,尤其在 5nm 制程节点之后。另外, 从研发投入的角度看,我们发现全球龙头的 EDA 营收及增速与全球领先的半导体公司的研发投入的增长趋势保持较 高的相关度。

先进工艺节点极大推动 EDA 需求,以全球最大的芯片代工厂台积电为例,2020 Q4 的 5nm 和 7nm 的营业收入已经 占到了 49%,其中 20nm 及以下的 FinFET 节点更是占到了 62%,先进工艺节点的营收占比不断提升,EDA 需求随 之不断增长。

后摩尔时代技术演进驱动EDA技术应用延伸拓展。后摩尔时代的集成电路技术演进方向主要包括延续摩尔定律(More Moore)、扩展摩尔定律(More than Moore)以及超越摩尔定律(Beyond Moore)三类,主要发展目标涵盖了建立 在摩尔定律基础上的生产工艺特征尺寸的进一步微缩、以增加系统集成的多重功能为目标的芯片功能多样化发展,以 及通过三维封装(3D Package)、系统级封装(SiP)等方式实现器件功能的融合和产品的多样化。其中,面向延续 摩尔定律(More Moore)方向,单芯片的集成规模呈现爆发性增长,为 EDA 工具的设计效率提出了更高的要求。面向扩展摩尔定律(More than Moore)方向,伴随逻辑、模拟、存储等功能被叠加到同一芯片,EDA 工具需具备对 复杂功能设计的更强支撑能力。

后摩尔时代,芯粒(Chiplet)技术已成为重要的发展方向,芯粒技术将不同工艺节点和不同材质的芯片通过先进的 集成技术(如 3D 集成技术)封装集成在一起,形成一个系统芯片,实现了一种新形式的 IP 复用。这一过程需要 EDA 工具提供全面支持,促进 EDA 技术应用的延伸拓展。

SiP 的发展,促进了 EDA 工具升级迭代需求。SiP 对 EDA 产生的影响首先是适应设计方法的改变。如何简化 SiP 的 设计过程将是推动对系统级封装(SiP)芯片技术需求的最关键能力。一个完整的设计流程与工具支持将使得产品开 发工作大幅简化,工具对未来技术的扩展性,向下兼容以及数据交换的标准化都是必要的考量点。从系统芯片(SoC) 过渡到 SiP 的设计方法,给芯片设计人员和封装设计人员都带来了新的挑战,对硅基板的布局和验证提出了新的挑战, 另外,因为小型化紧凑化,除了电性能之外,电与热的交互也需要非常完整的设计能力,包括热感知、电磁干扰设计 方法等。因此,随着封装变得越来越复杂,EDA 解决方案空间必须涵盖设计、热学、3D 解决方案和信号完整性,以 确保其全部功能良好。

SiP EDA 供应商方面,除了海外传统 EDA 巨头有较多布局外,国内厂商芯和半导体也在 SiP 领域提供封装设计的一 站式服务。芯和半导体 SiP 解决方案将具有不同功能的芯片在三维空间内进行多种形式的组合安装,混合搭载于同一 封装体之内,从而构成完整系统的封装技术。芯和半导体已与多家封装厂建立合作伙伴关系,提供:1)封装设计、 加工、验证的交钥匙方案,2)包括方案、原理图、布局、布线的全线设计,3)信号完整性、电源完整性、电磁兼容 分析,4)电热协同分析设计。同时,芯和半导体也为客户提供 IPD 集成无源器件解决方案,芯和半导体 IPD 是在硅 基板上利用晶圆代工厂的工艺,采用光刻技术蚀刻出不同图形,形成不同的器件,从而实现各种无源元件如电阻、电 容、电感、滤波器、耦合器等的高密度集成,芯和的 IPD 解决方案主要应用在手机及无线连接应用领域。

4、EDA 行业三大新趋势:EDA+云、EDA+AI、EDA+IP

(1)EDA+云计算:超强计算资源赋能 EDA 工具,降本增效

云计算的出现为 EDA 发展提供了新的方向。云计算是继互联网、计算机后在信息时代的又一重大革新,云计算是分 布式计算的一种,为更多用户享受更先进的网络资源提供了可能。云计算在应用领域的重要性不断凸显。传统的 EDA 设计流程是 Fabless 公司从 Synopsys、Cadence 或 Mentor 等 EDA 公司购买完整的工具流和 IP,用户需要自己购买EDA 工具,在终端设备上安装相应的环境及软件才能进行电路设计。EDA 上云则有望开辟全新路径,用户将不必受传统设计模式的约束,只需要为终端设备使用工具的市场来付流量费,以更加灵活的方式进行生产设计。

EDA 上云可显著降低设计流程的耗时,提高开发效率。在开发过程中,EDA 使用者常常会面临计算资源需求激增、 多项目并行导致资源抢夺以及 EDA 峰值性能需求难以被满足等困境,芯片设计流程周期本身就十分漫长,叠加算力 受限带来的影响,将会进一步影响新产品的设计周期,进而影响新产品的上市销售。EDA 上云后,能够将部分或者 全部 EDA 工具转移至云上,设计公司各取所需,灵活获取计算资源,达到规模经济性,借此亦可提升开发效率,减 少芯片设计的时间成本。

云端 EDA 有助于优化购买成本,提高资源利用率。EDA 工具大致分为前端、后端和验证三个部分,在长达约 18 个 月的芯片设计周期中,每个阶段所用到的工具种类和数量不尽相同。传统模式下,设计公司若想进行芯片设计,往往 需要购买 EDA 公司提供的完整全流程工具,特别是对于中小公司,昂贵的购买成本加重了研发负担。云端 EDA 可帮 助公司缩短周转时间,进行虚拟的设计、模拟和仿真,摆脱办公地点的限制,减少资金成本。

微软云已与 EDA 厂商联手协作,未来发展仍需不断探索。EDA 和云的结合具有三大优势,1)在性能上能够采用最 优的配置满足复杂的芯片设计场景,2)和云的融合可以带来成本的优化,3)保障芯片设计整个流程中的各环节的安 全性。微软云 Azure 优势明显,已和 Mentor Graphics、TSMC 和 AMD 等多方合作,在 Azure 上验证了 7nm 的芯片 设计。Synopsys 使用微软云 Azure 运行 IC Validator,在不到 9 小时的时间内完成了对 AMD Redeon Pro VII GPU (超过 130 亿个晶体管)的验证,大幅缩短了验证时间。Cadence 在 2018 和 2019 年分别发布了 Cadence Cloud 和 Cloudburst 平台,实现了涉足云计算到全面迈向云计算的重要步骤。

(2)EDA+AI:PPA 更优化,流程更智能化

人工智能的兴起,使 AI 将从 Indide 和 Outside 两方面赋能 EDA。机器学习(ML)作为人工智能(AI)的重要组成 部分,其在 EDA 领域的相关应用代表了 AI 与 EDA 融合的技术发展趋势。AI Inside 强调 EDA 工具本身,力图让 EDA 工具本身更加智能,使得工具使用者获得更好的 PPA(功耗、性能和面积)和更快的引擎,从而提升测试和诊断性能 表现。AI Outside 强调工具使用者,以期让工具具有学习的能力,使工具本身积累更多经验,减少设计过程中的人为 干预,让 EDA 设计者能有更多事件从事富有创造性的劳动,减少重复性的繁杂工作。

全球领先 EDA 厂商均已布局 AI,赋力 IC 设计智能化。Synopsys 在 2020 年 3 月 12 日推出了业界首个用于芯片设计的自主人工智能应用程序——DSO.ai,DSO 指设计空间优化(Design Space Optimization),这是 EDA 行业首 次将 AI 应用于非常复杂的设计任务中的产品,DSO.ai 能够在芯片设计的巨大求解空间里搜索优化目标,大规模扩展 了对芯片设计流程选项的探索,能够自主执行次要决策,并使用强化学习来观察设计随时间的演变情况,同时调整设 计选择、技术参数和工作流程,大幅提升整体生产力。

AI 助力实现高精度设计,提升设计效率。半导体制造中,随着设计尺寸的不断缩小,光的衍射效应愈发明显,因此 设计图形可能产生光学影像退化,使得光刻后的实际图形与设计不一致,光学邻近矫正(OPC:Optical Proximity Correction)技术可修正上述光学临近效应。Mentor 创新性的运用 ML OPC 将光学近邻效应修正(OPC)输出预测 精度提升到纳米级,同时执行时间还会缩短 3 倍,在此之前,对于同样的工作量,需要 4000 个 CPU 不间断地运行 24 小时。运用 ML 的 OPC 对于 CPU 内核的占用也会大幅度减少。

(3)EDA+IP:EDA 厂商提供 IP 产品具有天然优势

半导体 IP 是集成电路进步发展的产物,与 EDA 共同构成芯片设计的强大支柱。半导体 IP 是指已验证的、可重复利 用的、具有某种特定功能的集成电路模块,通常由第三方开发。在产业发展早期,由于芯片的种类有限,当时的半导 体芯片设计难度较低,大部分芯片设计公司自身可以独立完成芯片的设计全流程,所以当时几乎没有独立的 IP 厂商。

随着集成电路的发展,大规模集成电路(VLSI)逐渐占据行业主流,半导体行业遵循摩尔定律的发展,单个芯片上集 成的晶体管数量已达上亿个,半导体芯片的流程分工愈发明细,全球 IDM 厂商数量极少,芯片行业发展更趋向于分 工协作。在芯片设计环节,超大规模集成电路所涉及的流程愈发复杂,研发费用逐步升高,同时伴随着芯片种类的愈 加丰富,以及先进制程的不断涌现,半导体 IP 为简化 IC 设计流程提供了极大便利,半导体 IP 以及应运而生的 IP 企 业是半导体产业发展的必然产物,配合先进的 EDA 工具,芯片设计借助各种 IP 达到了极大的便捷。

半导体 IP 可按照存在形式以及应用领域进行分类。按照存在形式,可以将 IP 内核分为软核、硬核和固核。软核是最 原始的 IP,主要以 HDL 等硬件描述语言存在,具有灵活性和适应性,但是后续工艺可能会受限,且较易涉及知识产 权的问题。硬核主要以偏后期的版图形式存在,可预见性好,是较为成熟的板块,但是灵活性和可移植性很差。固核 是软核和硬核的折衷。从 IP 应用领域看,设计 IP 可分为处理器 IP(CPU、DSP、GPU & ISP)、有线接口 IP、物 理 IP 和其他数字 IP。

IP 市场规模稳定增长,全球供应商格局稳定,中国大陆厂商占比较低。据 IBS 数据显示,半导体 IP 市场将从 2018 年的 46 亿美元增长至 2027 年的 101 亿美元,CAGR 为 9.13%,其中处理器 IP、数模混合 IP 和射频 IP 的 CAGR 分 别为 10.15%、6.99%和 8.44%。IPnest 数据显示,2020 年的全球半导体 IP 供应商销售收入市占率前三名为 ARM、 Synopsys 和 Cadence,其市占率分别为 41.0%、19.2%和 6.0%,排名前十的企业中仅有一家中国大陆公司,芯原 股份市占率为 2.0%,侧面反映出 IP 市场国产率较低。

IP 业务主要以授权模式为主,壁垒较高,产品生态构建天然护城河,EDA 公司凭借自身产品线具有独特优势。ARM 作为 IP 行业龙头老大,IP 产品布局完善,开辟了面向“Partner-ship”授权“IP Core”的模式,不再设计芯片,而是以授 权的方式,将芯片设计方案转让给其他芯片设计公司。授权模式下,IP 与 EDA 类似,都形成了独特的产品生态,老 用户无法产生替代方案,新用户为了适应市场势必选择成熟方案,用户粘性大导致新产品难以进入市场提高市占,两 大 EDA 公司同时涉足 IP 业务,也都建立了较为完善的产品布局,借助自身产品布局优势,提升品牌护城河,同时带 来业务增量。

5、国内半导体产业蓬勃发展,国内 EDA 需求处于快速成长期

从行业发展历史看,EDA 行业发展的主要驱动力来源于半导体行业发展以及摩尔定律的不断往前推进。EDA 商业模 式本质上是服务于半导体企业的研发工作,通过销售 License、IP 和技术服务盈利,即 EDA 行业的发展受益于半导 体企业数量以及研发投入的增长。

根据中国半导体协会数据,2020 年中国半导体设计公司数量达到 2218 家,相较上年大幅增长 24.6%。从员工数量 划分看,小于 100 人的 IC 设计公司占比较高,即初创类型的公司占比较高,中国半导体行业工程师数量 20 万左右, 每个工程师带来的 EDA 需求大约在 3700 美元,相较于 2018 年两年复合增长约 8%,那么粗略测算 2020 年来自中 国半导体设计公司的 EDA 需求为 7.4 亿美元。

另外中国 IP 市场规模测算方面,虽然 IP 的消耗与芯片数量紧密相关,而不是工程师的数量,但我们认为,在中国充 满活力的半导体行业,初创企业(少于 100 名员工)的迅速增长,因此芯片设计工程师数量说明 IP 的市场增长具有 一定合理性。根据中国半导体协会数据,我们假设未来五年中国半导体行业工程师数量复合增速达到 8%,单个工程 师 EDA 需求量复合增速 10%以及单个工程师 IP 需求量复合增速 10%,基于以上假设则测算出中国 EDA/IP 市场未 来五年复合增速达到 19%。

从Synopsys和Cadence国内营收看,2020年Synopsys在中国大陆的营收4.21亿美元,占其总收入比例约11.4%, Cadence 在中国大陆的营收 4.07 亿美元,占其总收入比例约 15.2%,两大巨头在国内的营收合计约 8.28 亿美元。近 年来,国内的营收在两大 EDA 巨头的营收占比不断提升,体现了国内市场对于两大 EDA 巨头的重要性,这意味着国 产 EDA 公司具有近 10 亿美元的国产替代空间。

三、海外 EDA 巨头产品布局全面,各有强势产品,呈现寡头垄断格局

海外三巨头成立的时间均在 20 世纪 80 年代,并且总部都位于美国,都有三十来年的历史,相较于我国 EDA 公司起 步早很多。

1、海外巨头 EDA 营收稳健增长,IP 收入占比逐年提升

Synopsys 公司已经成为全球最大的 EDA 企业,在三巨头中营收体量最大。2016-2020 财年,Synopsys 公司的经 营业绩规模持续扩大,2020 财年公司营业收入达到 36.85 亿美元,同比增长 9.66%。Cadence 公司一直处于仅次于 Synopsys 公司的位置,2016-2020 财年公司的业绩也是持续向好,2019 财年公司通过关于数字定制和验证产品组 合的全面协议,加深了与三星的合作关系,获得了较大的 IP 订单,经营业绩快速增长,实现营业收入 23.36 亿元, 2020 年营收继续保持增长至 26.83 亿美元,同比增长 14.83%。Mentor Graphics 公司在 90 年代曾遇到经营困境, 软件的研发严重落后于进度,大量长期客户流失,难以与其他两家公司竞争。

EDA 为主要业务收入来源,IP 收入占比逐年增长,研发投入占比居高不下。以前两大巨头为例,2017-2020 年的营 业收入中,Synopsys 的 EDA 业务营收占比达到约 60%,并且 IP 业务营收占比逐年缓慢增加,占比已经超过 30%, Cadence 的主要营收来源也来自于 EDA 业务,数字电路设计与 Signoff 和定制电路设计贡献了大部分营收,相较于 Synopsys、Cadence 的 IP 业务占比较低,只有约 10%。

2、海外巨头产品布局全面,各家优势产品明显

三巨头产品布局全面,各有竞争优势。EDA 公司一般都能够为客户提供 IC 设计全流程所需的所有工具,但鉴于各家 发展轨迹不一样以及技术实力的差距,在提供全套解决方案时,用户的使用过程中往往会优中取优,在 IC 设计过程 中将多家公司的设计工具组合使用。

Synopsys 最全面,它的优势在于数字前端、数字后端和 Signoff 工具。模拟前端的 PrimeSim XA,数字前端的 VCS, 后端的 sign-off 工具,包括 PrimeTime、PrimePower 等,公司前端设计逻辑综合工具 Design Compiler 和后端布局 布线工具 IC Compiler 在业内已经取得较大的市场份额。

Mentor Graphic 在物理验证和晶圆制造类工具有较强的竞争优势,在 PCB 工具方面也有较深的布局,它的优势是 Calibre物理验证工具,但Mentor Graphic在数字、模拟设计方面的全流程工具和集成度尚不及Synopsys和Cadence。

未来需求推动了集成电路和应用系统发展,Mentor Graphics(SIEMENS EDA)的产品策略足以应对未来需求的挑 战。先进工艺快速演进,Calibre 可满足工具性能缩放、设计的可测试性以及计算光刻的要求,测试和成品良率可用 Tessent;Catapult、Tessent、Power Pro 和 3DSTACK 可分别用于高层次综合、SSN 流扫描测试网络、功耗优化和 3D 集成,助力产品功能快速提升;在验证以及数字化阶段,Veloce 可用于应用程序规模性能的硬件,PAVE360 可 用于集成仿真引擎与协同建模,对于模拟混合信号和生命周期管理,可分别采用 AFS/Solido、TESSENT 进行实施。

3、Synopsys:EDA、IP、软件安全业务协同发展

Synopsys(新思科技)成立于 1986 年,是全球著名的 EDA 和 IP 公司,致力于为全球电子市场提供技术先进的集 成电路设计与验证平台,以及复杂的芯片上系统(SoCs)的开发。公司拥有超 15000 名员工,132 个分支机构,3300 项专利,2020 年营收超过 36 亿美元。此外,Synopsys 在芯片设计与验证领域全球排名第一,在半导体 IP 供应商中 排名第二,并连续五年获评“Gartner 应用安全测试魔力象限领导者”。公司作为全球 EDA 行业的领导者,业务主要包 括设计、验证、硅工程、IP 核、软件安全五大业务。

4、Cadence:强项在模拟设计和 PCB 工具

Cadence(铿腾电子)由 SDA Systems 和 ECAD 两家公司于 1988 年兼并而成,是电子设计领域的关键领导者, 拥有超过 30 年的计算软件专业知识。该公司应用其基础的智能系统设计战略、交付软件和 IP,将设计概念变成现实, 公司业务覆盖超大规模计算、5G 通信、汽车、航空航天、工业和健康等广阔市场。2008 年以前,Cadence 是全球 最大的 EDA 厂商,而后被 Synopsys 超过,现位居第二。

公司产品涵盖了电子设计的整个流程,主要分为定制 IC/模拟/RF 设计、数字设计与 Signoff、IC 封装设计与分析、IP、 PCB 设计与分析、系统分析、系统设计与验证七大业务。

5、Simens EDA:强项在物理验证、制造和封装类工具

Mentor Graphic 成立于 1981 年,是 EDA 三巨头中最早成立的公司。在 2016 年被 Simens 以 45 亿美元收购后, 其成为 Simens 的 EDA 部门。公司营收规模较 Synopsys 与 Cadence 小,全球员工总数约 4000 人。作为三巨头中 成立时间最早的公司,虽然工具没有 Synopys 和 Cadence 全面,但在部分点工具如 PCB 设计工具、后端验证工具 Calibre、DFTAdvisor、IC 封装设计与验证等领域都具有一定优势。分产品看,Mentor 的主要产品业务可分为 IC 设 计、验证和制造业务、IC 封装设计与验证业务、电子系统设计与制造业务。

6、Ansys:工程仿真软件领先供应商

Ansys 成立于 1970 年,是一家专注于工程仿真软件和技术的研发企业,其旗下的 ANSYS 软件是全球增长最快的 CAE 软件,该软件简洁易用、功能强大,现已成为国际最流行的 FEA 软件。此外,不同与传统的 EDA 厂商,Ansys 专注于仿真业务,产品覆盖自动驾驶、航天航空、车辆设计、电子半导体、电池电机等多项领域,在仿真领域拥有广 阔完备的产品组合。在公司众多产品组合中,与 EDA 相关的主要为电子产品组合与半导体产品组合。

7、Silvaco:深耕晶圆制造类工具的 EDA 供应商

Silvaco 成立于 1984 年,是一家专为工艺和器件开发、模拟/混合信号设计、功率集成电路设计和存储器设计提供软 件工具的 EDA 供应商。Silvaco 的产品覆盖从 TCAD 到签收的完整流程,包括:平板显示、功率电子、光学设备、 辐射和软错误可靠性和先进的 CMOS 工艺和 IP 开发。在 30 多年的发展中,公司逐渐扩充自身业务版图,完善产品 矩阵。

公司提供了一整套 “从 TCAD 至签核”的全流程工具,广泛应用于显示器、电源(高电压/电流)、可靠性分析、高级 工艺开发、模拟,高速 I / O 设计、存储器设计等领域中。主要包括 TCAD、寄生参数提取、SPICE 仿真与建模、特征 参数提取、模拟定制设计、网表提取分析工具、数字设计工具、电源完整性工具、VARIATION-AWARE 设计、PDK 工具。

8、Zuken:封装及板级 EDA 工具供应商

株式会社图研(Zuken)成立于 1976 年,旨在支持制造业的整个产品设计和开发业务,实现高级化和最优化的 IT 系统的开发、制造、销售及咨询服务等,公司产品包括电子设计(EDA)、汽车电装线束电路设计、工程数据管理(EDM) 三大系列。其中,电子设计(EDA)涵盖了从构思设计到详细安装设计、制造设计的广泛领域,产品包括 Design Force、 Design Gateway、System Planner、DFM Center、EMC Adviser EX、Circuit DR Navi、GPM、Board Viewer Advance。

四、国内 EDA 多点布局,生态逐渐形成,国产 EDA 迎黄金时代

1、国产 EDA 发展起伏,自主可控再启程

国内 EDA 行业起步较晚且发展较为曲折。上世纪八十年代中后期,国内开始投入 EDA 领域的研发。20 世纪 70 至 80 年代,由于巴黎统筹委员会对中国实施的禁运管制,中国无法购买到国外的 EDA 工具,中国开始进行 EDA 技 术的自主研发与攻关,并在 1988 年启动国产 EDA 工具“熊猫系统”的研发工作。90 年代初,公司初始团队部分成 员研发成功了中国历史上第一款具有自主知识产权的 EDA 工具“熊猫 ICCAD 系统”,填补了我国在这一领域的空白。之后的国内 EDA 发展曲折而缓慢,因各种因素影响,国产 EDA 产业没有取得实质性成功,但在这个过程中,国内已 经出现多个 EDA 厂商萌芽。“十一五”、“十二五”EDA 重大专项彰显国家支持力度。2008 年以来,国内从事 EDA 研究 领域涌现了华大电子、芯愿景、广立微等数十家公司,国产 EDA 企业方阵逐渐形成。

2、国产 EDA 公司多点布局,国内 EDA 生态逐步形成

由于 EDA 行业入门门槛高,成本弹性大,对性能依赖性强,因此行业进入壁垒较高,我国本土有实力的 EDA 企业 并不多。目前,我国市场上主要 EDA 软件供给企业包括华大九天、芯和科技、广立微、九同方微、博达微、概伦电 子等。这些企业虽然在全流程产品上和海外巨头还有不小的差距,在工具的完整性方面与国外企业相比,有明显的差 距,但在具体工具上各有所长。EDA 的重要性不断凸显,一旦 EDA 受制于人,整个国内芯片产业的发展都可能停摆, 发展国产 EDA 迫在眉睫。面临国际环境不确定性,本土 EDA 企业有望厚积薄发,在技术创新、融资运营上有所提升, 打破 EDA 厂商竞争格局。

华为哈勃投资版图庞大,已投四家 EDA 公司。华为哈勃投资的 EDA 公司包括射频全流程工具提供商九同方微电子、 专注于工业设计和仿真的无锡飞谱电子、专注于逻辑综合和物理设计的立芯软件及专注于数字前端形式验证的阿卡思 微,均为在各细分点工具领域领先的国内 EDA 厂商。

3、国内 EDA 公司如何破局?

(1)AI 芯片前端设计领域是国内 EDA 公司的机会

回顾全球集成电路设计的发展并展望未来,行业呈现出以下三个发展动向:1、设计异构化:当前 AI 等对于计算效率 和能效比有高要求的应用成为半导体行业的主流推动引擎,考虑到不同应用对于算力、能效比、算法等要求不同,难 以使用同一平台去适配,而需要针对不同的应用来设计相应的电路,因此异构化的设计成为主流。2、芯片与算法系 统融合:在 AI 等应用中,通常是将芯片电路与以算法部署为代表的上层系统做协同设计和优化。3、敏捷化设计:当 前很多互联网公司跨界进入芯片领域,如谷歌、亚马逊、阿里巴巴、百度等,这些跨界布局芯片的公司往往希望芯片 设计部门尽可能小,同时又希望在较短的时间内将芯片推向市场,即较短的 TTM(Time to Market)。

以上三点相结合,对于 EDA 提出了新的要求:EDA 能对不同的应用,实现算法和芯片设计之间的打通,并且能够加 快设计速度。

然而,传统基于 Verilog 等 RTL 语言的前端设计方法不能满足上述需求,主要因为 1)RTL 语言主要应用于逻辑电路 设计,而不是系统算法及设计,2)RTL 语言密度和代码效率较低,比如仅描述简单设计就需要上百行代码,而一个 数亿参数里的神经网络模型在 Python 中也仅需要百行代码即可实现。目前以 Chisel、Magma 为代表的新一代 HDL 语言依托 Scala、Python 等高级语言可以大幅降低逻辑电路设计所需的代码量,并且设计更加灵活,降低设计迭代周 期。据产业链了解,Chisel 已经谷歌等公司大规模采用并且帮助谷歌在较短的周期内完成产品设计交付。

Chisel、Magma 等 HDL 语言具有通用性,可覆盖几乎所有的 Verilog 的使用场景,相较于其通用性,另一个值得关 注的是电路设计与算法系统设计协同的专用化语言(Domain-specific language,DSL),该语言主要应用于某些特定 设计类型,DSL 可以实现高效的设计描述,降低代码量及实现算法和电路的打通,算法描述可以通过 DSL 映射到电路中,并且通过调整设计参数优化设计。DSL 的典型案例如源于斯坦福大学的 Spatial Language,该语言主要针对 AI 芯片等场景中常见的空间计算阵列,能大幅加快设计速度。

我们认为前端设计的 EDA 工具正在发生深刻变化,譬如 AI 芯片设计领域所需要的 EDA 工具和流程与现有的方案有 很大的不同,一方面,国内外在该领域尚处于同一起跑线,国内 EDA 公司可能通过抓住这个机会来实现技术上的赶 超,另一方面,国内半导体生态中从事 AI 芯片等相关的初创企业采用 DSL 语言的较多,这些新的初创公司生态亦将 支持相关的下一代前端 EDA 工具在中国落地。

(2)前端综合和后端设计是“硬骨头”,需要产业链生态协同突破

在综合和后端领域,目前还看不到取巧的办法实现赶超。综合和后端领域算法多为已知算法,难度在于如何做到最优 化。行业龙头 Synopsys 和 Cadence 都是在经历了多年积累加上大量的客户工程实践中发展起来的。从技术上来说, 对于中国 EDA 公司来说,想要实现赶超也是需要技术积累的。中国的 EDA 行业在后端的现状是深度和广度都不够。深度是指在一些核心应用(例如逻辑综合,布局布线)中的结果相比主流工具在 PPA 等指标中是否接近,是否能兼 容最新工艺中器件新特性对于工具的新要求等;而广度则是指是否能覆盖后端和验证中的各个环节,包括逻辑/版图 综合、形式化验证、时序验证、物理验证、寄生参数提取等等。

实现技术积累需要大量下游客户的实践和“陪跑”。EDA 行业在国内发展较慢主要原因之一就是缺乏“陪跑者”,在没有 中美关系紧张的压力下,设计/代工厂商没有动力采购国产 EDA 工具,一方面可能降低研发效率,另一方面还有可能 因 EDA 工具的切换而导致芯片的良率等指标下降,进而引发成本增加。我们认为,当前国家政策大力扶持 EDA 这一 “卡脖子”情形下,可以通过构建中国代工厂、芯片设计以及 EDA 公司联盟,鼓励优先使用和支持中国 EDA 工具,以 此来改进国内综合和后端设计工具的落后现状,随着不断的客户的实践,后端 EDA 工具有望在未来实现对世界领先 水平的追赶。

(3)从 EDA 细分领域突破,打造最强点工具

国内 EDA 公司的另一破局点是从某一细分领域进行突破。海外 EDA 巨头的强势领域主要在数字和模拟的全流程工 具,但是在一些细分环节的点工具可能不是其发展重心,国内 EDA 企业可以从这个角度切入实现弯道超车,EDA 整 个版图中,仿真和验证类工具具有一定的独立性,其追求的主要系产品的高效算法带来的运行效率等指标,往往对于 设计厂商来说一般会采用多种仿真或者验证工具做配合和交叉验证的工作。例如在器件建模仿真等领域深耕的概伦电 子,以及在射频 EDA 领域深耕的九同方和聚焦芯片、封装及系统仿真类产品的芯和半导体等,都是从巨头不是最强 势的细分领域切入到 EDA 领域。

来源:未来智库

二维码
中国电子商会信息工程测试专业委员会 电话:010-87660482 传真:010-87660482 邮箱:ceietn@sina.com 地址:北京经济技术开发区博兴六路17号院1号楼3层(100176)
Copyright © 2021-2027 中国电子信息工程与测试网 版权所有 主办单位:中国电子商会信息工程测试专业委员会 技术支持:电设信科(北京)技术有限公司 备案号:京ICP备11002915号-001